算术逻辑单元----2018-04-04 06:56:31

in #votetagofcpz86 years ago

算术逻辑单元(arithmetic and logic unit) 是能实现多组算术运算和逻辑运算的组合逻辑电路,简称ALU。
----2018-04-04 06:56:31

Coin Marketplace

STEEM 0.17
TRX 0.15
JST 0.028
BTC 59911.66
ETH 2306.14
USDT 1.00
SBD 2.49